Utilize este identificador para referenciar este registo: https://hdl.handle.net/1822/74477

TítuloHAL-ASOS accelerator model: evolutive elasticity by design
Autor(es)Silva, Vítor Alberto Teixeira
Pinto, Paulo
Cardoso, Paulo
Cabral, Jorge
Tavares, Adriano
Palavras-chaveHardware task
Hardware accelerator
Hardware kernel
FPGA
Microcode
Dynamic partial reconfiguration
Elastic hardware system calls
Evolutive elasticity by design
Data27-Ago-2021
EditoraMultidisciplinary Digital Publishing Institute (MDPI)
RevistaElectronics
CitaçãoSilva, V.; Pinto, P.; Cardoso, P.; Cabral, J.; Tavares, A. HAL-ASOS Accelerator Model: Evolutive Elasticity by Design. Electronics 2021, 10, 2078. https://doi.org/10.3390/electronics10172078
Resumo(s)To address the integration of software threads and hardware accelerators into the Linux Operating System (OS) programming models, an accelerator architecture is proposed, based on micro-programmable hardware system calls, which fully export these resources into the Linux OS user-space through a design-specific virtual file system. The proposed HAL-ASOS accelerator model is split into a user-defined Hardware Task and a parameterizable Hardware Kernel with three differentiated transfer channels, aiming to explore distinct BUS technology interfaces and promote the accelerator to a first-class computing unit. This paper focuses on the Hardware Kernel and mainly its microcode control unit, which will leverage the elasticity to naturally evolve with Linux OS through key differentiating capabilities of field programmable gate arrays (FPGAs) when compared to the state of the art. To comply with the evolutive nature of Linux OS, or any Hardware Task incremental features, the proposed model generates page-faults signaling runtime errors that are handled at the kernel level as part of the virtual file system runtime. To evaluate the accelerator model’s programmability and its performance, a client-side application based on the AES 128-bit algorithm was implemented. Experiments demonstrate a flexible design approach in terms of hardware and software reconfiguration and significant performance increases consistent with rising processing demands or clock design frequencies.
TipoArtigo
URIhttps://hdl.handle.net/1822/74477
DOI10.3390/electronics10172078
ISSN2079-9292
Versão da editorahttps://www.mdpi.com/2079-9292/10/17/2078
Arbitragem científicayes
AcessoAcesso aberto
Aparece nas coleções:BUM - MDPI

Ficheiros deste registo:
Ficheiro Descrição TamanhoFormato 
electronics-10-02078.pdf4,32 MBAdobe PDFVer/Abrir

Este trabalho está licenciado sob uma Licença Creative Commons Creative Commons

Partilhe no FacebookPartilhe no TwitterPartilhe no DeliciousPartilhe no LinkedInPartilhe no DiggAdicionar ao Google BookmarksPartilhe no MySpacePartilhe no Orkut
Exporte no formato BibTex mendeley Exporte no formato Endnote Adicione ao seu ORCID